Xcelium(xrun)的基础使用

Xcelium的基础使用 


https://www.cnblogs.com/Alfred-HOO/articles/17416139.html

一,基础问答
1,Xcelium的由来?
Xcelium(xrun)是cadence最新的仿真工具,Incisive(irun)的升级版本。
2,如何用xrun完成三步仿真?
xrun默认是单步仿真

 
 
xrun add.v //自动完成comp , elab ,sim

三步仿真:

 
 
comp :
 
xrun -compile add.v
 
elab :
 
xrun -elaborate add.v //生成snapshot
 
sim :
 
xrun -R //自动识别snapshot

3、Xcelium的特性
严格的语法检查确保设计的成功,若代码不改变,默认不重新comp,elab代码,来减少仿真时间(如需要重新comp,elab,可添加-noupdate)
二,常用的option
 


 


三,help使用
whichxrun//查看xrun是否可以直接调用

xrun -helpargs//打印出xrun的option作用及用法(xrun -helpall)
当仿真报错,可以使用下面命令debug具体报错类型
xmhelpxrunBADPRFxmhelp xmsim BADPRF (根据报错信息调整xmvlog ,xmelab ,xmsim)
$nchelp ncvlog BADPRF(根据报错信息调整ncvlog,ncelab,ncsim).